Shop OBEX P1 Docs P2 Docs Learn Events
Getting started with FPGAs - Page 2 — Parallax Forums

Getting started with FPGAs

2456

Comments

  • LeonLeon Posts: 7,620
    edited 2011-07-05 01:49
    The Quartus tools support schematic entry, I've attached the schematic for that same design. Schematics are converted to VHDL for processing, IIRC.

    Functional HDL blocks can be used in schematics, which makes a complex design easier to follow. There was no reason for the NOR, BTW, I could have simply used an inverter. Many of the old TTL devices are available, for people that like using them, or are converting legacy designs.
    1024 x 576 - 122K
  • LeonLeon Posts: 7,620
    edited 2011-07-05 02:05
    William,

    The USB Blaster is just a programmer, like the Propeller Plug. It can be used in two ways, via JTAG to program the RAM in the FPGA directly, or to program the configuration flash device. That is similar to how the Prop Plug is used, except that two different connectors need to be used on the board. The original device was called a Byte Blaster, and used the printer port, I built my own.

    Altera has a service called HardCopy for converting FPGA designs into ASICs:

    http://www.altera.com/products/devices/hardcopy-asics/about/hrd-index.html

    It's a lot cheaper than full custom silicon, but is still expensive, and just not worth it for a few chips. You have to use the top of the range Stratix FPGAs for that, they can cost as much as a car. That's what Parallax are using for their Propeller II development.
  • User NameUser Name Posts: 1,451
    edited 2011-07-06 21:25
    FPGAs seem like an odd avocation for one of the world's most vociferous XMOS boosters. If you truly loved XMOS chips as much as I love the Propeller, why would you spend a minute of free time dabbling with FPGAs? I should think you'd be busy making yourself into one of the world's most competent XMOS developers, helping XMOS take over the world.
  • LeonLeon Posts: 7,620
    edited 2011-07-07 01:03
    I actually have a project in mind which involves combining an XMOS chip with an FPGA and a very fast ADC to implement a low-cost high-performance software-defined radio. The XMOS chip is ideal for the baseband processing because of the DSP capability.
  • Emmanuel1983Emmanuel1983 Posts: 3
    edited 2011-07-07 01:45
    Hi Leon, am very excited with the post you made about the FPGAs. i have recently got involved in a project where am using an FPGA board to provide my ADC with the following input data. DI (mux control word), CLK (clock signal) and CS (Chip select). The problem am facing, is that when i connect all my signals to the ADC, the voltage amplitude is reduced and i don't know what is causing this. I thought too much current is been drawn by the ADC but the problem still persists. The voltage is reduced from 3.7V to 1.2V. The ADC am using is the TLC0838. Is it possible for me to get 3.7V on the connection inputs of the pins i have mentioned?
  • LeonLeon Posts: 7,620
    edited 2011-07-07 02:15
    The ADC I mentioned is one of the 500 MHz (750 MHz with down-sampling) 14-bit ones from LT (they gave me a couple of samples). If you are using the TLC0838 you need to sort out the digital interface to the FPGA first. You must have a connection error if the FPGA connections are affecting the ADC input signal.
  • Heater.Heater. Posts: 21,230
    edited 2011-07-07 03:27
    User Name,

    Leon is always getting a lot of flak for mentioning various non-propeller devices here. From what I have seen it is not out of a desire to deride the Prop. Leon is obviously interested in many technologies and will investigate whatever looks interesting to him. His "true love" (if you can say such a thing about mere silicon) appears to be not for just one chip from one manufacturer but the whole gamut of the technology and techniques we have at our disposal.

    It would be discourteous to discuss products A,B,C... on a forum dedicated to product Z. And also not very productive. But consider this, if a guy comes onto a forum dedicated to screwdrivers and asks about a problem he has with nails then it better to point him to a hammer manufacturer than to try and convince him he can drive in his nails with a screwdriver.

    I believe that often this is a good analogy to the comments Leon has made to posts around here on many occasions.

    Now to the topic in hand, why not bring up FPGA's on a Prop forum? There may well be applications where a combination of Prop and small FPGA or CPLD is a perfect solution. In fact I believe the Hydra system has a small CPLD as glue between the Propeller and a RAM chip. If you want to reconfigure your Hydra you will need to know about HDL and the tools available.
  • Dr_AculaDr_Acula Posts: 5,484
    edited 2011-07-07 03:35
    The Quartus tools support schematic entry, I've attached the schematic for that same design. Schematics are converted to VHDL for processing, IIRC.

    That looks brilliant. Think of all the soldering that doesn't need to be done. And no waiting for PCBs. And shorter propogation delays.

    Thanks Leon.
  • LeonLeon Posts: 7,620
    edited 2011-07-07 03:35
    Heater:

    I'm just fascinated by all the new technology that is available. At 69, I probably don't have many years left to enjoy it. :)

    Coincidentally, I was thinking of designing a little PCB to interface a Propeller to that Cyclone II FPGA board. It would go on one of the 2x14 headers. I've got a Propeller PCB design that would only take a few minutes to adapt to that header.

    Dr_Acula:

    Download the Quartus software and have a go at some designs. You can even simulate them, check timings, etc. without any hardware.

    We had a sinister-looking classics teacher at my grammar school, who was known as "Drac". He was actually a very mild-mannered gentle soul.

    Here is the schematic for the FPGA-Prop board:

    http://www.leonheller.com/FPGA-Prop/FPGA-Prop_sch.pdf

    I've connected Propeller pins P0-P15 to the FPGA. The remaining Propeller pins will be brought out to pads, for use with circuitry built on a small prototyping area. It's powered from the FPGA, and has a Prop Plug connector. The FPGA board has three spare 2x14 way connectors for other hardware.

    I'll start a new thread about that board.
  • HumanoidoHumanoido Posts: 5,770
    edited 2011-07-07 06:13
    Certainly the comparison of various chips to the Propeller is welcome as down the road we may increasingly see these types of chips connected to Propellers. For example, I like to study AMD because one project is to connect 720 GPU's to the Propeller Array and for every 100 props of 16,000 MIPS it adds over a TeraFLOP of computing power and numerous resources.

    Page 33 post 650
    Apple AMD Radeon HD 6750M Graphics Card 480 Stream Processors
    http://forums.parallax.com/showthread.php?124495-Fill-the-Big-Brain&p=977025&viewfull=1#post977025

    Page 46
    910 Merging Technologies - Big Brain with AMD
    912 AMD Sources

    Page 47
    923 Developing AMD for Parallax Propellers
    924 AMD (to Parallax Propeller) Development Boards Source
    925 AMD’s Software Kit Source
    929 More about AMD GPUs

    Page 51
    1004 Big Brain's AMD Radeon HD

    Page 52
    1023 Big Brain Expansion with AMD Radeon HD, High Speed Streaming GPUs
  • LeonLeon Posts: 7,620
    edited 2011-07-07 06:23
    Humanoido:

    Could you please delete that post, it isn't particularly relevant to using FPGAs.
  • ctwardellctwardell Posts: 1,716
    edited 2011-07-07 08:08
    Leon,

    Please don't let any of the negativity and XMOS baiting keep you from moving ahead with your tutorial.

    I've been wanting to dive into FPGA's and this is giving me the push to do it, thanks for your effort.

    C.W.
  • User NameUser Name Posts: 1,451
    edited 2011-07-07 10:00
    @ heater and leon:

    If a person is handing out advice on hammers, it seems to me he ought to be actively driving nails himself. Near as I can tell, Leon meets that criterion wrt FPGAs. On the other hand, his XMOS experience is pathetic.

    How many lines of code do you think a person ought to author on a particular platform before calling himself an expert? Heater, I consider you an expert on the Propeller because you've done amazing things with it. Where are the amazing things Leon has done with XMOS or AVR? I see zero evidence that he is an expert. Therefore, his advice on those matters isn't advice, it's propaganda. XMOS propaganda doesn't belong on a Parallax forum. When he has walked the walk - when he has done with XMOS the things you've done with the Prop - I won't be mocking him. Guaranteed.

    Until then, he's just a dabbler and a dreamer. And an interloper.
  • xanatosxanatos Posts: 1,120
    edited 2011-07-07 10:15
    Leon wrote: »
    I'm just fascinated by all the new technology that is available. At 69, I probably don't have many years left to enjoy it. :)

    Leon, if you are fascinated by tech, add some biotech to your research: Telomere, Telomerase, telomerase activator, cycloastraganol.

    You might have more years left that you might imagine! :-)

    Live Long and Prosper, as they say! :-)

    Dave
  • RsadeikaRsadeika Posts: 3,836
    edited 2011-07-07 10:24
    @User Name: "...and has no real idea of the challenges that will be faced by someone blindly following his ignorant advice."

    You may want to really think about what you just posted. Do you really, really want to start a war? So, about his "ignorant advise", I guess you must be an expert. So kindly point out, in your expert opinion, which way everybody should be going? I have never seen a post where Leon has ever called anybody ignorant, if you want to go that route maybe I will have to go back and reread some of your posts, which should not take that long.

    @Leon, keep up the good work, do not let them bait you!

    Ray
  • User NameUser Name Posts: 1,451
    edited 2011-07-07 10:38
    Rsadeika wrote: »
    You may want to really think about what you just posted... I guess you must be an expert. So kindly point out, in your expert opinion, which way everybody should be going? I have never seen a post where Leon has ever called anybody ignorant.

    You would do well to take your own advice. Where did I call myself an expert? Where did I say that Leon had labeled anyone as ignorant? Get the story straight first, then respond.

    The story is that about 3000 of Leon's 5000 posts were some form of propaganda for another manufacturer's product - a product he's more gifted at purchasing and promoting than using. It's little more than jingoism.
  • ctwardellctwardell Posts: 1,716
    edited 2011-07-07 11:00
    @User Name.

    Let's keep this to the topic of learning to use FPGA's. I don't see anything useful arising from stirring the pot.

    C.W.
  • rod1963rod1963 Posts: 752
    edited 2011-07-07 11:11
    Somebody has a bad case of fanboi itis and needs a time out in the corner.

    Here's a tip user_name, adults who disagree with Leon ignore him, they don't troll to start a fight.
  • LeonLeon Posts: 7,620
    edited 2011-07-07 11:19
  • User NameUser Name Posts: 1,451
    edited 2011-07-07 11:35
    ctwardell wrote: »
    @User Name.

    Let's keep this to the topic of learning to use FPGA's. I don't see anything useful arising from stirring the pot.

    C.W.

    Okay, lets do that:

    Leon, 5-25-2008: The XMOS is a fast microprocessor intended for parallel processing, with high-speed communication links between the chips. Being so fast, and extensible, it could replace FPGAs in a lot of applications, at much less cost. It's intended for different markets than the Propeller, and will be much cheaper (down to $1 in quantity) and very much faster than the
    Propeller. The first chip (available from Digi-Key in a few weeks) is the XS1-G4000 which has four 400 MIPS processors on one 512 ball BGA chip. Smaller single-core chips will be in 44-lead QFP packages.

    Leon, 10-28-2008: Changing the clock rate dynamically isn't difficult with an FPGA. XMOS processors with their "software defined silicon" architecture have been designed specifically for replacing FPGAs in many applications, of course.

    Leon, 11-9-2008: BTW, an XMOS chip can probably emulate Propeller cogs in software faster than the real thing, and a lot faster than an FPGA.

    Leon, 1-10-2009: If you really need 100 MHz capture, look at the XMOS controller. It costs more than the Propeller and uses lots more power, though. An FPGA is another option.

    Leon, 1-10-2009: You probably need to look at an XMOS chip or a CPLD or FPGA, then, if you really need a 100 MHz single-chip solution now, especially if you have several channels.

    I could go on, and on, and on. So, perhaps my original question wasn't so off-topic. Here, several years after the intro of the chip-to-end-all-chips, Leon is doing FPGAs and not XMOS. I'm criticized for three or four posts. Where is the apology for three years of Leon's XMOS blather on a Parallax forum?
  • ctwardellctwardell Posts: 1,716
    edited 2011-07-07 11:47
    @User Name,

    I'm not going to spend all day looking into Leon's previous posts, they are in the past, and may or may not have been on topic within their respective threads.

    Leon is trying to do something useful here, and for me it has prompted me to get of my duff and learn more about FPGA's.

    I have the Quartus Web Version downloading right now, and Leon is right, it's a big download, 2.7GB for the Windows version.

    C.W.
  • LeonLeon Posts: 7,620
    edited 2011-07-07 12:05
    The new Xilinx ISE 13.2 is 4,57 GB! I requested a DVD from them a couple of years ago, when my download speed was much slower. I get 11.2 MB/s now, which isn't bad for where I am in the UK.
  • Martin HodgeMartin Hodge Posts: 1,246
    edited 2011-07-07 12:07
    In an attempt to get things back on topic I'll add this...

    I've found Quartus II to be very reliable, easier to use and a little easier to learn than the Xilinx ISE which has already crashed several times causing the loss of work. However, it appears to this beginner that the Xilinx ISE would be able to support very ambitious designs. I invite others (more experienced than I) to contribute their comments/comparisons on the two IDE's as well. The FPGA-Prop board is a good idea.
  • LeonLeon Posts: 7,620
    edited 2011-07-07 12:14
    I prefer Quartus as well. I've got some Xilinx hardware as well as Altera so I use both systems. The Altera software seems to have been designed as a whole, whereas the Xilinx software seems to consist of lots of disparate pieces of code that has been cobbled together. The Xilinx software hasn't crashed on me, though.

    As for the hardware, for most people I don't think it matters, there isn't much to choose between them. Xilinx seems to have the edge in terms of advanced technology, but given that the devices using it cost as much as a car, it's somewhat academic as far as people like us are concerned.

    It's amazing how the tools have improved over the last few years. When I worked for Racal they used a Xilinx FPGA in our new radio system. It was a small device by today's standards, and it took over 24 hours on a fast Sun workstation to process our design. In my last job we got in a consultant to design the FPGA for the system I was designing (it was needed to fix bugs in our ASIC) and it took him six months to get the design finished in an Altera device - he did everything manually to squeeze the design into a small device and got 98% utilisation. Nowadays people wouldn't bother doing that sort of thing.
  • ctwardellctwardell Posts: 1,716
    edited 2011-07-07 12:22
    Leon wrote: »
    but given that the devices using it cost as much as a car

    That would suck soooooo bad to be the one to let the magic smoke out of one of those.

    BTW, here is an Ebay link with the USB Blaster and FPGA board:

    http://cgi.ebay.com/Altera-USB-Blaster-JTAG-CycloneII-EP2C5T144-FPGA-Board-/150577882944?_trksid=p5197.m7&_trkparms=algo%3DLVI%26itu%3DUCI%26otn%3D5%26po%3DLVI%26ps%3D63%26clkid%3D1180161439082804413

    C.W.
  • LeonLeon Posts: 7,620
    edited 2011-07-07 12:34
    C.W.

    That's the same board I've got. There must be a factory in China churning them out, to get the price so low. I paid about $120 for my USB Blaster a couple of years ago, it was the only clone available at the time.

    Martin,

    I noticed that one some time ago. It looks interesting, especially for implementing a processor.

    I'd forgotten this story. Someone I know bought a couple of large BGA Xilinx FPGAs cheap on eBay that had been removed from boards. They must have cost about $2,000 originally. He glued one upside down on a piece of perfboard, soldered wire-wrap wire to the pads, and got one of them working. I think it was only flashing an LED, and I don't know if he did any more with it,
  • Toby SeckshundToby Seckshund Posts: 2,027
    edited 2011-07-07 13:57
    And there was me using XC95xx chips, to play with (probably my level anyway).

    Those prices are great, I have always shyed away from FPGA dev boards as they always seemed to cost a small-medium fortune.
    I bet the Altera software is no smaller a download than Xilinx's version :-)

    Alan.
  • LeonLeon Posts: 7,620
    edited 2011-07-07 14:06
    I played about with the XC9536, on a couple of home-made PCBs. I prefer the Altera CPLDs now; they are actually small FPGAs, but Altera plays that down.
  • John A. ZoidbergJohn A. Zoidberg Posts: 514
    edited 2011-07-07 20:36
    The debate should end here, we want more FPGA tutorials! :)
Sign In or Register to comment.