What is the most suitable FPGA type... Cyclone V, Cyclone IV, Spartan 6, Spartan 3A ?
Cluso99
Posts: 18,069
I was surprised that the Cyclone V on the BeMicro CV compiled so poorly.
So, I am wondering what usage/speed can be obtained from the various FPGA formats.
If you compile the original posted P1 code for any FPGA, could you please post your results here so we can compare them?
So, I am wondering what usage/speed can be obtained from the various FPGA formats.
If you compile the original posted P1 code for any FPGA, could you please post your results here so we can compare them?
Comments
Here are the unmodified Cyclone IV E results.
My compile results for Chip's Terasic DE0-Nano package:
My compile results for Chip's Terasic DE2-115 package:
Reports a possible 76032 Bytes on the Nano, what is using the RAM ?
Maybe I can make a 384K Byte HUB RAM P1 on the DE2-115 board tomorrow (out of 440KB) ... in my dreams.
Someone knows how though. jmg?
BTW Thanks for your compile results. Now we need someone to do the same for Spartan 3A and 6.
8 * 2KB cogs + 32KB+16KB=48KB hub
Total 64KB = 512Kb = 524,288 bits
For memory usage on DE2
8 * 2KB cogs + 64KB hub
Total 80KB = 640Kb = 655,360 bits
On the DE0 we have 608,256 bits avail
608,256 b / 1024 = 594Kb = 74.25KB
74.25KB - (8 * 2KB cogs) = 58.25KB
So, 56KB hub might be available - so we should try 48KB hub ram and double map the last 2 * 8KB of ROM.
But to try it without generating a new ROM file, keep the 32KB hub ram, and add 2 * 8KB double mapped ram next, then the last 16KB of ROM.
This gives us 40KB hub ram with the 32-40KB also mapped as 40-48KB. The followed by the 16KB ROM.
On the DE2 we have 3,981,312 bits avail
3,981,312 b / 1024 = 3888Kb = 486KB
486KB - (8 * 2KB cogs) = 470KB
So we have ~470KB hub ram/rom available.
Re/ addressing - not much of an issue for RDxxxx / WRxxxx as they already theoretically can address a 32 bit address space.
For an interesting variant, I suggest:
512 long boot loader at byte address $0-$3FF (long addresses $0-$1FF)
ram for the rest
hubexec
Stupid question time:
How can a bootloader be done that would keep all RAM free?
Basically, I was thinking of the first 512 longs in the hub being preset to be "ROM" (like on the P2) and hold just a cog image, loaded into cog0 and run at bootup.
Then that cog would be responsible for loading from eeprom/flash.
We would have a choice of keeping the boot rom mapped in, losing the first 512 longs from being used as ram, or the boot cog could flip a bit, and map ram back there.
From P2 discussions, if the first 512 longs in the memory map were mapped as cog addresses, it does not matter if they are not ram.
Or the bootloader could be in high memory, or not even present in the memory space - cog0 could be loaded from a "hidden" rom on startup.
Many choices to explore!
Simply add a switchable (with mux, for example) rom block with bootloader which will connect to the Propeller after reset and then disconnect itself after doing its work.
Or add a circuit which, after reset, will preload bootloader to RAM.
Great! Sounds perfect. No memory pot-holes!
Somebody spent too much time programming the MC68000
Can we keep this thread on topic.
Great discussions, but they would be better in their own thread. Thanks
Cluso,
It's been a while since I designed a few things with FPGAs (ok this will start to show my age, early '90s using XC3000 family Xilinx devices and with schematic capture only - not HDLs back then) but I am not sure that you can easily divide FPGA memory that finely. In general the available memory blocks are 9 bit wide so you only get 8/9ths of the capacity when storing byte wide quantities to the RAM. The extra bit in each byte, or bits when parallel widened > 8 bits, tends to become useful for other purposes such as fifo flags/frame delimiters, data validity/parity bits, etc but not to store the data itself. Perhaps you could but I suspect it would ugly real fast and start to involve multiple accesses per byte. So when considering this, I believe the DE-0 nano would effectively have about 66kB SRAM to play with when you lose the 9th bit.
rogloh
Yes, there will be some loss of use in there.
I have just downloaded the latest Quartus so when I get home I can try a few things out.
I too designed a few boards with Xilinx parts in the 90's. But I hand routed my designs for maximum speed. Lucky they were only small designs. One was a bus interface to a mini.
I'm happy to remove any of my postings that you seriously deem off topic, and I assume that others may remove theirs also.
Connecting 68000 to the Propeller may give us an interesting results
[code]
Info: *******************************************************************
Info: Running Quartus II 64-Bit Analysis & Synthesis
Info: Version 14.0.0 Build 200 06/17/2014 SJ Web Edition
Info: Processing started: Sun Aug 10 19:57:22 2014
Info: Version 14.0.0 Build 200 06/17/2014 SJ Web Edition
Info: Processing started: Sun Aug 10 19:57:22 2014
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off top -c top
Warning (20028): Parallel compilation is not licensed and has been disabled
Warning (12125): Using design file top.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
Info (12023): Found entity 1: top
Info (12023): Found entity 1: top
Info (12127): Elaborating entity "top" for the top level hierarchy
Info (12128): Elaborating entity "altpll" for hierarchy "altpll:pll"
Info (12130): Elaborated megafunction instantiation "altpll:pll"
Info (12133): Instantiated megafunction "altpll:pll" with the following parameter:
Info (12134): Parameter "clk0_divide_by" = "5"
Info (12134): Parameter "clk0_multiply_by" = "16"
Info (12134): Parameter "inclk0_input_frequency" = "20000"
Info (12134): Parameter "operation_mode" = "normal"
Info (12134): Parameter "pll_type" = "enhanced"
Info (12134): Parameter "width_clock" = "6"
Info (12134): Parameter "width_phasecounterselect" = "4"
Info (12134): Parameter "clk0_divide_by" = "5"
Info (12134): Parameter "clk0_multiply_by" = "16"
Info (12134): Parameter "inclk0_input_frequency" = "20000"
Info (12134): Parameter "operation_mode" = "normal"
Info (12134): Parameter "pll_type" = "enhanced"
Info (12134): Parameter "width_clock" = "6"
Info (12134): Parameter "width_phasecounterselect" = "4"
Info (12021): Found 1 design units, including 1 entities, in source file db/altpll_ejp.tdf
Info (12023): Found entity 1: altpll_ejp
Info (12023): Found entity 1: altpll_ejp
Info (12128): Elaborating entity "altpll_ejp" for hierarchy "altpll:pll|altpll_ejp:auto_generated"
Warning (12125): Using design file tim.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
Info (12023): Found entity 1: tim
Info (12023): Found entity 1: tim
Info (12128): Elaborating entity "tim" for hierarchy "tim:clkgen"
Warning (12125): Using design file dig.v, which is not specified as a design file for the current project, but contains definitions for 8 design units and 8 entities in project
Info (12023): Found entity 1: cog_ram
Info (12023): Found entity 2: cog_alu
Info (12023): Found entity 3: cog_ctr
Info (12023): Found entity 4: cog_vid
Info (12023): Found entity 5: cog
Info (12023): Found entity 6: hub_mem
Info (12023): Found entity 7: hub
Info (12023): Found entity 8: dig
Info (12023): Found entity 1: cog_ram
Info (12023): Found entity 2: cog_alu
Info (12023): Found entity 3: cog_ctr
Info (12023): Found entity 4: cog_vid
Info (12023): Found entity 5: cog
Info (12023): Found entity 6: hub_mem
Info (12023): Found entity 7: hub
Info (12023): Found entity 8: dig
Info (12128): Elaborating entity "dig" for hierarchy "dig:core"
Info (12128): Elaborating entity "cog" for hierarchy "dig:core|cog:coggen[0].cog_"
Info (12128): Elaborating entity "cog_ram" for hierarchy "dig:core|cog:coggen[0].cog_|cog_ram:cog_ram_"
Warning (276027): Inferred dual-clock RAM node "dig:core|cog:coggen[0].cog_|cog_ram:cog_ram_|altsyncram:r[0][31]__1" from synchronous design logic. The read-during-write behavior of a dual-clock RAM is undefined and may not match the behavior of the original design.
Info (19000): Inferred 1 megafunctions from design logic
Info (276029): Inferred altsyncram megafunction from the following design logic: "dig:core|cog:coggen[0].cog_|cog_ram:cog_ram_|altsyncram:r[0][31]__1"
Info (286033): Parameter OPERATION_MODE set to DUAL_PORT
Info (286033): Parameter WIDTH_A set to 32
Info (286033): Parameter WIDTHAD_A set to 9
Info (286033): Parameter NUMWORDS_A set to 512
Info (286033): Parameter WIDTH_B set to 32
Info (286033): Parameter WIDTHAD_B set to 9
Info (286033): Parameter NUMWORDS_B set to 512
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_B set to NONE
Info (286033): Parameter OUTDATA_ACLR_B set to NONE
Info (286033): Parameter ADDRESS_REG_B set to CLOCK1
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (276029): Inferred altsyncram megafunction from the following design logic: "dig:core|cog:coggen[0].cog_|cog_ram:cog_ram_|altsyncram:r[0][31]__1"
Info (286033): Parameter OPERATION_MODE set to DUAL_PORT
Info (286033): Parameter WIDTH_A set to 32
Info (286033): Parameter WIDTHAD_A set to 9
Info (286033): Parameter NUMWORDS_A set to 512
Info (286033): Parameter WIDTH_B set to 32
Info (286033): Parameter WIDTHAD_B set to 9
Info (286033): Parameter NUMWORDS_B set to 512
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_B set to NONE
Info (286033): Parameter OUTDATA_ACLR_B set to NONE
Info (286033): Parameter ADDRESS_REG_B set to CLOCK1
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (286033): Parameter OPERATION_MODE set to DUAL_PORT
Info (286033): Parameter WIDTH_A set to 32
Info (286033): Parameter WIDTHAD_A set to 9
Info (286033): Parameter NUMWORDS_A set to 512
Info (286033): Parameter WIDTH_B set to 32
Info (286033): Parameter WIDTHAD_B set to 9
Info (286033): Parameter NUMWORDS_B set to 512
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_B set to NONE
Info (286033): Parameter OUTDATA_ACLR_B set to NONE
Info (286033): Parameter ADDRESS_REG_B set to CLOCK1
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (12128): Elaborating entity "altsyncram" for hierarchy "dig:core|cog:coggen[0].cog_|cog_ram:cog_ram_|altsyncram:r[0][31]__1"
Info (12130): Elaborated megafunction instantiation "dig:core|cog:coggen[0].cog_|cog_ram:cog_ram_|altsyncram:r[0][31]__1"
Info (12133): Instantiated megafunction "dig:core|cog:coggen[0].cog_|cog_ram:cog_ram_|altsyncram:r[0][31]__1" with the following parameter:
Info (12134): Parameter "OPERATION_MODE" = "DUAL_PORT"
Info (12134): Parameter "WIDTH_A" = "32"
Info (12134): Parameter "WIDTHAD_A" = "9"
Info (12134): Parameter "NUMWORDS_A" = "512"
Info (12134): Parameter "WIDTH_B" = "32"
Info (12134): Parameter "WIDTHAD_B" = "9"
Info (12134): Parameter "NUMWORDS_B" = "512"
Info (12134): Parameter "ADDRESS_ACLR_A" = "NONE"
Info (12134): Parameter "OUTDATA_REG_B" = "UNREGISTERED"
Info (12134): Parameter "ADDRESS_ACLR_B" = "NONE"
Info (12134): Parameter "OUTDATA_ACLR_B" = "NONE"
Info (12134): Parameter "ADDRESS_REG_B" = "CLOCK1"
Info (12134): Parameter "INDATA_ACLR_A" = "NONE"
Info (12134): Parameter "WRCONTROL_ACLR_A" = "NONE"
Info (12134): Parameter "OPERATION_MODE" = "DUAL_PORT"
Info (12134): Parameter "WIDTH_A" = "32"
Info (12134): Parameter "WIDTHAD_A" = "9"
Info (12134): Parameter "NUMWORDS_A" = "512"
Info (12134): Parameter "WIDTH_B" = "32"
Info (12134): Parameter "WIDTHAD_B" = "9"
Info (12134): Parameter "NUMWORDS_B" = "512"
Info (12134): Parameter "ADDRESS_ACLR_A" = "NONE"
Info (12134): Parameter "OUTDATA_REG_B" = "UNREGISTERED"
Info (12134): Parameter "ADDRESS_ACLR_B" = "NONE"
Info (12134): Parameter "OUTDATA_ACLR_B" = "NONE"
Info (12134): Parameter "ADDRESS_REG_B" = "CLOCK1"
Info (12134): Parameter "INDATA_ACLR_A" = "NONE"
Info (12134): Parameter "WRCONTROL_ACLR_A" = "NONE"
Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_isd1.tdf
Info (12023): Found entity 1: altsyncram_isd1
Info (12023): Found entity 1: altsyncram_isd1
Info (12128): Elaborating entity "altsyncram_isd1" for hierarchy "dig:core|cog:coggen[0].cog_|cog_ram:cog_ram_|altsyncram:r[0][31]__1|altsyncram_isd1:auto_generated"
Info (12128): Elaborating entity "cog_ctr" for hierarchy "dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra"
Info (12128): Elaborating entity "cog_vid" for hierarchy "dig:core|cog:coggen[0].cog_|cog_vid:cog_vid_"
Info (12128): Elaborating entity "cog_alu" for hierarchy "dig:core|cog:coggen[0].cog_|cog_alu:cog_alu_"
Info (12128): Elaborating entity "hub" for hierarchy "dig:core|hub:hub_"
Info (12128): Elaborating entity "hub_mem" for hierarchy "dig:core|hub:hub_|hub_mem:hub_mem_"
Warning (10858): Verilog HDL warning at hub_mem.v(85): object rom_low used but never assigned
Warning (10036): Verilog HDL or VHDL warning at hub_mem.v(87): object "rom_low_q" assigned a value but never read
Warning (10858): Verilog HDL warning at hub_mem.v(96): object rom_high used but never assigned
Warning (19016): Clock multiplexers are found and protected
Warning (19017): Found clock multiplexer dig:core|cog:coggen[7].cog_|cog_ctr:cog_ctra|Mux6
Warning (19017): Found clock multiplexer dig:core|cog:coggen[6].cog_|cog_ctr:cog_ctra|Mux6
Warning (19017): Found clock multiplexer dig:core|cog:coggen[5].cog_|cog_ctr:cog_ctra|Mux6
Warning (19017): Found clock multiplexer dig:core|cog:coggen[4].cog_|cog_ctr:cog_ctra|Mux6
Warning (19017): Found clock multiplexer dig:core|cog:coggen[3].cog_|cog_ctr:cog_ctra|Mux6
Warning (19017): Found clock multiplexer dig:core|cog:coggen[2].cog_|cog_ctr:cog_ctra|Mux6
Warning (19017): Found clock multiplexer dig:core|cog:coggen[1].cog_|cog_ctr:cog_ctra|Mux6
Warning (19017): Found clock multiplexer dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|Mux6
Warning (19017): Found clock multiplexer dig:core|cog:coggen[7].cog_|cog_ctr:cog_ctra|Mux6
Warning (19017): Found clock multiplexer dig:core|cog:coggen[6].cog_|cog_ctr:cog_ctra|Mux6
Warning (19017): Found clock multiplexer dig:core|cog:coggen[5].cog_|cog_ctr:cog_ctra|Mux6
Warning (19017): Found clock multiplexer dig:core|cog:coggen[4].cog_|cog_ctr:cog_ctra|Mux6
Warning (19017): Found clock multiplexer dig:core|cog:coggen[3].cog_|cog_ctr:cog_ctra|Mux6
Warning (19017): Found clock multiplexer dig:core|cog:coggen[2].cog_|cog_ctr:cog_ctra|Mux6
Warning (19017): Found clock multiplexer dig:core|cog:coggen[1].cog_|cog_ctr:cog_ctra|Mux6
Warning (19017): Found clock multiplexer dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|Mux6
Warning (19016): Clock multiplexers are found and protected
Warning (19017): Found clock multiplexer tim:clkgen|clk_pll~2
Warning (19017): Found clock multiplexer tim:clkgen|clk_pll~2
Warning (276020): Inferred RAM node "dig:core|hub:hub_|hub_mem:hub_mem_|ram0_rtl_0" from synchronous design logic. Pass-through logic has been added to match the read-during-write behavior of the original design.
Warning (276020): Inferred RAM node "dig:core|hub:hub_|hub_mem:hub_mem_|ram3_rtl_0" from synchronous design logic. Pass-through logic has been added to match the read-during-write behavior of the original design.
Warning (276020): Inferred RAM node "dig:core|hub:hub_|hub_mem:hub_mem_|ram2_rtl_0" from synchronous design logic. Pass-through logic has been added to match the read-during-write behavior of the original design.
Warning (276020): Inferred RAM node "dig:core|hub:hub_|hub_mem:hub_mem_|ram1_rtl_0" from synchronous design logic. Pass-through logic has been added to match the read-during-write behavior of the original design.
Info (19000): Inferred 5 megafunctions from design logic
Info (276029): Inferred altsyncram megafunction from the following design logic: "dig:core|hub:hub_|hub_mem:hub_mem_|rom_high_rtl_0"
Info (286033): Parameter OPERATION_MODE set to ROM
Info (286033): Parameter WIDTH_A set to 32
Info (286033): Parameter WIDTHAD_A set to 12
Info (286033): Parameter NUMWORDS_A set to 4096
Info (286033): Parameter OUTDATA_REG_A set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_ACLR_A set to NONE
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (286033): Parameter INIT_FILE set to hub_rom_high.hex
Info (276029): Inferred altsyncram megafunction from the following design logic: "dig:core|hub:hub_|hub_mem:hub_mem_|ram0_rtl_0"
Info (286033): Parameter OPERATION_MODE set to DUAL_PORT
Info (286033): Parameter WIDTH_A set to 8
Info (286033): Parameter WIDTHAD_A set to 13
Info (286033): Parameter NUMWORDS_A set to 8192
Info (286033): Parameter WIDTH_B set to 8
Info (286033): Parameter WIDTHAD_B set to 13
Info (286033): Parameter NUMWORDS_B set to 8192
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_B set to NONE
Info (286033): Parameter OUTDATA_ACLR_B set to NONE
Info (286033): Parameter ADDRESS_REG_B set to CLOCK0
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (286033): Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA
Info (276029): Inferred altsyncram megafunction from the following design logic: "dig:core|hub:hub_|hub_mem:hub_mem_|ram3_rtl_0"
Info (286033): Parameter OPERATION_MODE set to DUAL_PORT
Info (286033): Parameter WIDTH_A set to 8
Info (286033): Parameter WIDTHAD_A set to 13
Info (286033): Parameter NUMWORDS_A set to 8192
Info (286033): Parameter WIDTH_B set to 8
Info (286033): Parameter WIDTHAD_B set to 13
Info (286033): Parameter NUMWORDS_B set to 8192
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_B set to NONE
Info (286033): Parameter OUTDATA_ACLR_B set to NONE
Info (286033): Parameter ADDRESS_REG_B set to CLOCK0
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (286033): Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA
Info (276029): Inferred altsyncram megafunction from the following design logic: "dig:core|hub:hub_|hub_mem:hub_mem_|ram2_rtl_0"
Info (286033): Parameter OPERATION_MODE set to DUAL_PORT
Info (286033): Parameter WIDTH_A set to 8
Info (286033): Parameter WIDTHAD_A set to 13
Info (286033): Parameter NUMWORDS_A set to 8192
Info (286033): Parameter WIDTH_B set to 8
Info (286033): Parameter WIDTHAD_B set to 13
Info (286033): Parameter NUMWORDS_B set to 8192
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_B set to NONE
Info (286033): Parameter OUTDATA_ACLR_B set to NONE
Info (286033): Parameter ADDRESS_REG_B set to CLOCK0
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (286033): Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA
Info (276029): Inferred altsyncram megafunction from the following design logic: "dig:core|hub:hub_|hub_mem:hub_mem_|ram1_rtl_0"
Info (286033): Parameter OPERATION_MODE set to DUAL_PORT
Info (286033): Parameter WIDTH_A set to 8
Info (286033): Parameter WIDTHAD_A set to 13
Info (286033): Parameter NUMWORDS_A set to 8192
Info (286033): Parameter WIDTH_B set to 8
Info (286033): Parameter WIDTHAD_B set to 13
Info (286033): Parameter NUMWORDS_B set to 8192
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_B set to NONE
Info (286033): Parameter OUTDATA_ACLR_B set to NONE
Info (286033): Parameter ADDRESS_REG_B set to CLOCK0
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (286033): Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA
Info (276029): Inferred altsyncram megafunction from the following design logic: "dig:core|hub:hub_|hub_mem:hub_mem_|rom_high_rtl_0"
Info (286033): Parameter OPERATION_MODE set to ROM
Info (286033): Parameter WIDTH_A set to 32
Info (286033): Parameter WIDTHAD_A set to 12
Info (286033): Parameter NUMWORDS_A set to 4096
Info (286033): Parameter OUTDATA_REG_A set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_ACLR_A set to NONE
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (286033): Parameter INIT_FILE set to hub_rom_high.hex
Info (286033): Parameter OPERATION_MODE set to ROM
Info (286033): Parameter WIDTH_A set to 32
Info (286033): Parameter WIDTHAD_A set to 12
Info (286033): Parameter NUMWORDS_A set to 4096
Info (286033): Parameter OUTDATA_REG_A set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_ACLR_A set to NONE
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (286033): Parameter INIT_FILE set to hub_rom_high.hex
Info (276029): Inferred altsyncram megafunction from the following design logic: "dig:core|hub:hub_|hub_mem:hub_mem_|ram0_rtl_0"
Info (286033): Parameter OPERATION_MODE set to DUAL_PORT
Info (286033): Parameter WIDTH_A set to 8
Info (286033): Parameter WIDTHAD_A set to 13
Info (286033): Parameter NUMWORDS_A set to 8192
Info (286033): Parameter WIDTH_B set to 8
Info (286033): Parameter WIDTHAD_B set to 13
Info (286033): Parameter NUMWORDS_B set to 8192
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_B set to NONE
Info (286033): Parameter OUTDATA_ACLR_B set to NONE
Info (286033): Parameter ADDRESS_REG_B set to CLOCK0
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (286033): Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA
Info (286033): Parameter OPERATION_MODE set to DUAL_PORT
Info (286033): Parameter WIDTH_A set to 8
Info (286033): Parameter WIDTHAD_A set to 13
Info (286033): Parameter NUMWORDS_A set to 8192
Info (286033): Parameter WIDTH_B set to 8
Info (286033): Parameter WIDTHAD_B set to 13
Info (286033): Parameter NUMWORDS_B set to 8192
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_B set to NONE
Info (286033): Parameter OUTDATA_ACLR_B set to NONE
Info (286033): Parameter ADDRESS_REG_B set to CLOCK0
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (286033): Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA
Info (276029): Inferred altsyncram megafunction from the following design logic: "dig:core|hub:hub_|hub_mem:hub_mem_|ram3_rtl_0"
Info (286033): Parameter OPERATION_MODE set to DUAL_PORT
Info (286033): Parameter WIDTH_A set to 8
Info (286033): Parameter WIDTHAD_A set to 13
Info (286033): Parameter NUMWORDS_A set to 8192
Info (286033): Parameter WIDTH_B set to 8
Info (286033): Parameter WIDTHAD_B set to 13
Info (286033): Parameter NUMWORDS_B set to 8192
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_B set to NONE
Info (286033): Parameter OUTDATA_ACLR_B set to NONE
Info (286033): Parameter ADDRESS_REG_B set to CLOCK0
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (286033): Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA
Info (286033): Parameter OPERATION_MODE set to DUAL_PORT
Info (286033): Parameter WIDTH_A set to 8
Info (286033): Parameter WIDTHAD_A set to 13
Info (286033): Parameter NUMWORDS_A set to 8192
Info (286033): Parameter WIDTH_B set to 8
Info (286033): Parameter WIDTHAD_B set to 13
Info (286033): Parameter NUMWORDS_B set to 8192
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_B set to NONE
Info (286033): Parameter OUTDATA_ACLR_B set to NONE
Info (286033): Parameter ADDRESS_REG_B set to CLOCK0
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (286033): Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA
Info (276029): Inferred altsyncram megafunction from the following design logic: "dig:core|hub:hub_|hub_mem:hub_mem_|ram2_rtl_0"
Info (286033): Parameter OPERATION_MODE set to DUAL_PORT
Info (286033): Parameter WIDTH_A set to 8
Info (286033): Parameter WIDTHAD_A set to 13
Info (286033): Parameter NUMWORDS_A set to 8192
Info (286033): Parameter WIDTH_B set to 8
Info (286033): Parameter WIDTHAD_B set to 13
Info (286033): Parameter NUMWORDS_B set to 8192
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_B set to NONE
Info (286033): Parameter OUTDATA_ACLR_B set to NONE
Info (286033): Parameter ADDRESS_REG_B set to CLOCK0
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (286033): Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA
Info (286033): Parameter OPERATION_MODE set to DUAL_PORT
Info (286033): Parameter WIDTH_A set to 8
Info (286033): Parameter WIDTHAD_A set to 13
Info (286033): Parameter NUMWORDS_A set to 8192
Info (286033): Parameter WIDTH_B set to 8
Info (286033): Parameter WIDTHAD_B set to 13
Info (286033): Parameter NUMWORDS_B set to 8192
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_B set to NONE
Info (286033): Parameter OUTDATA_ACLR_B set to NONE
Info (286033): Parameter ADDRESS_REG_B set to CLOCK0
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (286033): Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA
Info (276029): Inferred altsyncram megafunction from the following design logic: "dig:core|hub:hub_|hub_mem:hub_mem_|ram1_rtl_0"
Info (286033): Parameter OPERATION_MODE set to DUAL_PORT
Info (286033): Parameter WIDTH_A set to 8
Info (286033): Parameter WIDTHAD_A set to 13
Info (286033): Parameter NUMWORDS_A set to 8192
Info (286033): Parameter WIDTH_B set to 8
Info (286033): Parameter WIDTHAD_B set to 13
Info (286033): Parameter NUMWORDS_B set to 8192
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_B set to NONE
Info (286033): Parameter OUTDATA_ACLR_B set to NONE
Info (286033): Parameter ADDRESS_REG_B set to CLOCK0
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (286033): Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA
Info (286033): Parameter OPERATION_MODE set to DUAL_PORT
Info (286033): Parameter WIDTH_A set to 8
Info (286033): Parameter WIDTHAD_A set to 13
Info (286033): Parameter NUMWORDS_A set to 8192
Info (286033): Parameter WIDTH_B set to 8
Info (286033): Parameter WIDTHAD_B set to 13
Info (286033): Parameter NUMWORDS_B set to 8192
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_B set to NONE
Info (286033): Parameter OUTDATA_ACLR_B set to NONE
Info (286033): Parameter ADDRESS_REG_B set to CLOCK0
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (286033): Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA
Info (12130): Elaborated megafunction instantiation "dig:core|hub:hub_|hub_mem:hub_mem_|altsyncram:rom_high_rtl_0"
Info (12133): Instantiated megafunction "dig:core|hub:hub_|hub_mem:hub_mem_|altsyncram:rom_high_rtl_0" with the following parameter:
Info (12134): Parameter "OPERATION_MODE" = "ROM"
Info (12134): Parameter "WIDTH_A" = "32"
Info (12134): Parameter "WIDTHAD_A" = "12"
Info (12134): Parameter "NUMWORDS_A" = "4096"
Info (12134): Parameter "OUTDATA_REG_A" = "UNREGISTERED"
Info (12134): Parameter "ADDRESS_ACLR_A" = "NONE"
Info (12134): Parameter "OUTDATA_ACLR_A" = "NONE"
Info (12134): Parameter "INDATA_ACLR_A" = "NONE"
Info (12134): Parameter "WRCONTROL_ACLR_A" = "NONE"
Info (12134): Parameter "INIT_FILE" = "hub_rom_high.hex"
Info (12134): Parameter "OPERATION_MODE" = "ROM"
Info (12134): Parameter "WIDTH_A" = "32"
Info (12134): Parameter "WIDTHAD_A" = "12"
Info (12134): Parameter "NUMWORDS_A" = "4096"
Info (12134): Parameter "OUTDATA_REG_A" = "UNREGISTERED"
Info (12134): Parameter "ADDRESS_ACLR_A" = "NONE"
Info (12134): Parameter "OUTDATA_ACLR_A" = "NONE"
Info (12134): Parameter "INDATA_ACLR_A" = "NONE"
Info (12134): Parameter "WRCONTROL_ACLR_A" = "NONE"
Info (12134): Parameter "INIT_FILE" = "hub_rom_high.hex"
Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_0u51.tdf
Info (12023): Found entity 1: altsyncram_0u51
Info (12023): Found entity 1: altsyncram_0u51
Warning (113015): Width of data items in "hub_rom_high.hex" is greater than the memory width. Wrapping data items to subsequent addresses. Found 512 warnings, reporting 10
Warning (113009): Data at line (1) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (2) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (3) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (4) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (5) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (6) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (7) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (8) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (9) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (10) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (1) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (2) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (3) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (4) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (5) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (6) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (7) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (8) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (9) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Warning (113009): Data at line (10) of memory initialization file "hub_rom_high.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
Info (12130): Elaborated megafunction instantiation "dig:core|hub:hub_|hub_mem:hub_mem_|altsyncram:ram0_rtl_0"
Info (12133): Instantiated megafunction "dig:core|hub:hub_|hub_mem:hub_mem_|altsyncram:ram0_rtl_0" with the following parameter:
Info (12134): Parameter "OPERATION_MODE" = "DUAL_PORT"
Info (12134): Parameter "WIDTH_A" = "8"
Info (12134): Parameter "WIDTHAD_A" = "13"
Info (12134): Parameter "NUMWORDS_A" = "8192"
Info (12134): Parameter "WIDTH_B" = "8"
Info (12134): Parameter "WIDTHAD_B" = "13"
Info (12134): Parameter "NUMWORDS_B" = "8192"
Info (12134): Parameter "ADDRESS_ACLR_A" = "NONE"
Info (12134): Parameter "OUTDATA_REG_B" = "UNREGISTERED"
Info (12134): Parameter "ADDRESS_ACLR_B" = "NONE"
Info (12134): Parameter "OUTDATA_ACLR_B" = "NONE"
Info (12134): Parameter "ADDRESS_REG_B" = "CLOCK0"
Info (12134): Parameter "INDATA_ACLR_A" = "NONE"
Info (12134): Parameter "WRCONTROL_ACLR_A" = "NONE"
Info (12134): Parameter "READ_DURING_WRITE_MODE_MIXED_PORTS" = "OLD_DATA"
Info (12134): Parameter "OPERATION_MODE" = "DUAL_PORT"
Info (12134): Parameter "WIDTH_A" = "8"
Info (12134): Parameter "WIDTHAD_A" = "13"
Info (12134): Parameter "NUMWORDS_A" = "8192"
Info (12134): Parameter "WIDTH_B" = "8"
Info (12134): Parameter "WIDTHAD_B" = "13"
Info (12134): Parameter "NUMWORDS_B" = "8192"
Info (12134): Parameter "ADDRESS_ACLR_A" = "NONE"
Info (12134): Parameter "OUTDATA_REG_B" = "UNREGISTERED"
Info (12134): Parameter "ADDRESS_ACLR_B" = "NONE"
Info (12134): Parameter "OUTDATA_ACLR_B" = "NONE"
Info (12134): Parameter "ADDRESS_REG_B" = "CLOCK0"
Info (12134): Parameter "INDATA_ACLR_A" = "NONE"
Info (12134): Parameter "WRCONTROL_ACLR_A" = "NONE"
Info (12134): Parameter "READ_DURING_WRITE_MODE_MIXED_PORTS" = "OLD_DATA"
Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_nii1.tdf
Info (12023): Found entity 1: altsyncram_nii1
Info (12023): Found entity 1: altsyncram_nii1
Info (13000): Registers with preset signals will power-up high
Info (13003): DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back
Info (286030): Timing-Driven Synthesis is running
Info (16010): Generating hard_block partition "hard_block:auto_generated_inst"
Info (16011): Adding 1 node(s), including 0 DDIO, 1 PLL, 0 transceiver and 0 LCELL
Info (16011): Adding 1 node(s), including 0 DDIO, 1 PLL, 0 transceiver and 0 LCELL
Info (21057): Implemented 16903 device resources after synthesis - the final resource count might be different
Info (21058): Implemented 2 input pins
Info (21059): Implemented 8 output pins
Info (21060): Implemented 32 bidirectional pins
Info (21061): Implemented 16540 logic cells
Info (21064): Implemented 320 RAM segments
Info (21065): Implemented 1 PLLs
Info (21058): Implemented 2 input pins
Info (21059): Implemented 8 output pins
Info (21060): Implemented 32 bidirectional pins
Info (21061): Implemented 16540 logic cells
Info (21064): Implemented 320 RAM segments
Info (21065): Implemented 1 PLLs
Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 34 warnings
Info: Peak virtual memory: 829 megabytes
Info: Processing ended: Sun Aug 10 19:59:37 2014
Info: Elapsed time: 00:02:15
Info: Total CPU time (on all processors): 00:02:02
Info: Peak virtual memory: 829 megabytes
Info: Processing ended: Sun Aug 10 19:59:37 2014
Info: Elapsed time: 00:02:15
Info: Total CPU time (on all processors): 00:02:02
Info: *******************************************************************
Info: Running Quartus II 64-Bit Fitter
Info: Version 14.0.0 Build 200 06/17/2014 SJ Web Edition
Info: Processing started: Sun Aug 10 19:59:40 2014
Info: Version 14.0.0 Build 200 06/17/2014 SJ Web Edition
Info: Processing started: Sun Aug 10 19:59:40 2014
Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off top -c top
Info: qfit2_default_script.tcl version: #1
Info: Project = top
Info: Revision = top
Warning (20028): Parallel compilation is not licensed and has been disabled
Info (119006): Selected device EP4CE22F17C6 for design "top"
Info (21077): Low junction temperature is 0 degrees C
Info (21077): High junction temperature is 85 degrees C
Info (15535): Implemented PLL "altpll:pll|altpll_ejp:auto_generated|pll1" as Cyclone IV E PLL type
Info (15099): Implementing clock multiplication of 16, clock division of 5, and phase shift of 0 degrees (0 ps) for altpll:pll|altpll_ejp:auto_generated|clk[0] port
Info (15099): Implementing clock multiplication of 16, clock division of 5, and phase shift of 0 degrees (0 ps) for altpll:pll|altpll_ejp:auto_generated|clk[0] port
Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time
Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature.
Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices
Info (176445): Device EP4CE10F17C6 is compatible
Info (176445): Device EP4CE6F17C6 is compatible
Info (176445): Device EP4CE15F17C6 is compatible
Info (176445): Device EP4CE10F17C6 is compatible
Info (176445): Device EP4CE6F17C6 is compatible
Info (176445): Device EP4CE15F17C6 is compatible
Info (169124): Fitter converted 4 user pins into dedicated programming pins
Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location C1
Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location D2
Info (169125): Pin ~ALTERA_DCLK~ is reserved at location H1
Info (169125): Pin ~ALTERA_DATA0~ is reserved at location H2
Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location C1
Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location D2
Info (169125): Pin ~ALTERA_DCLK~ is reserved at location H1
Info (169125): Pin ~ALTERA_DATA0~ is reserved at location H2
Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details
Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements.
Critical Warning (332012): Synopsys Design Constraints File file not found: 'top.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
Info (332144): No user constrained generated clocks found in the design
Info (332144): No user constrained base clocks found in the design
Info (332097): The following timing edges are non-unate. TimeQuest will assume pos-unate behavior for these edges in the clock network.
Info (332098): Cell: core|coggen[0].cog_|cog_ctra|Mux6~0 from: datac to: combout
Info (332098): Cell: core|coggen[0].cog_|cog_ctra|Mux6~1 from: datac to: combout
Info (332098): Cell: core|coggen[0].cog_|cog_ctra|Mux6~3 from: datac to: combout
Info (332098): Cell: core|coggen[0].cog_|cog_ctra|Mux6~4 from: datac to: combout
Info (332098): Cell: core|coggen[1].cog_|cog_ctra|Mux6~0 from: datac to: combout
Info (332098): Cell: core|coggen[1].cog_|cog_ctra|Mux6~1 from: datac to: combout
Info (332098): Cell: core|coggen[1].cog_|cog_ctra|Mux6~3 from: datac to: combout
Info (332098): Cell: core|coggen[1].cog_|cog_ctra|Mux6~4 from: datac to: combout
Info (332098): Cell: core|coggen[2].cog_|cog_ctra|Mux6~0 from: datac to: combout
Info (332098): Cell: core|coggen[2].cog_|cog_ctra|Mux6~1 from: datac to: combout
Info (332098): Cell: core|coggen[2].cog_|cog_ctra|Mux6~3 from: datac to: combout
Info (332098): Cell: core|coggen[2].cog_|cog_ctra|Mux6~4 from: datac to: combout
Info (332098): Cell: core|coggen[3].cog_|cog_ctra|Mux6~0 from: datac to: combout
Info (332098): Cell: core|coggen[3].cog_|cog_ctra|Mux6~1 from: datac to: combout
Info (332098): Cell: core|coggen[3].cog_|cog_ctra|Mux6~3 from: datac to: combout
Info (332098): Cell: core|coggen[3].cog_|cog_ctra|Mux6~4 from: datac to: combout
Info (332098): Cell: core|coggen[4].cog_|cog_ctra|Mux6~0 from: datac to: combout
Info (332098): Cell: core|coggen[4].cog_|cog_ctra|Mux6~1 from: datac to: combout
Info (332098): Cell: core|coggen[4].cog_|cog_ctra|Mux6~3 from: datac to: combout
Info (332098): Cell: core|coggen[4].cog_|cog_ctra|Mux6~4 from: datac to: combout
Info (332098): Cell: core|coggen[5].cog_|cog_ctra|Mux6~0 from: datac to: combout
Info (332098): Cell: core|coggen[5].cog_|cog_ctra|Mux6~1 from: datac to: combout
Info (332098): Cell: core|coggen[5].cog_|cog_ctra|Mux6~3 from: datac to: combout
Info (332098): Cell: core|coggen[5].cog_|cog_ctra|Mux6~4 from: datac to: combout
Info (332098): Cell: core|coggen[6].cog_|cog_ctra|Mux6~0 from: datac to: combout
Info (332098): Cell: core|coggen[6].cog_|cog_ctra|Mux6~1 from: datac to: combout
Info (332098): Cell: core|coggen[6].cog_|cog_ctra|Mux6~3 from: datac to: combout
Info (332098): Cell: core|coggen[6].cog_|cog_ctra|Mux6~4 from: datac to: combout
Info (332098): Cell: core|coggen[7].cog_|cog_ctra|Mux6~0 from: datac to: combout
Info (332098): Cell: core|coggen[7].cog_|cog_ctra|Mux6~1 from: datac to: combout
Info (332098): Cell: core|coggen[7].cog_|cog_ctra|Mux6~3 from: datac to: combout
Info (332098): Cell: core|coggen[7].cog_|cog_ctra|Mux6~4 from: datac to: combout
Info (332098): Cell: core|coggen[0].cog_|cog_ctra|Mux6~0 from: datac to: combout
Info (332098): Cell: core|coggen[0].cog_|cog_ctra|Mux6~1 from: datac to: combout
Info (332098): Cell: core|coggen[0].cog_|cog_ctra|Mux6~3 from: datac to: combout
Info (332098): Cell: core|coggen[0].cog_|cog_ctra|Mux6~4 from: datac to: combout
Info (332098): Cell: core|coggen[1].cog_|cog_ctra|Mux6~0 from: datac to: combout
Info (332098): Cell: core|coggen[1].cog_|cog_ctra|Mux6~1 from: datac to: combout
Info (332098): Cell: core|coggen[1].cog_|cog_ctra|Mux6~3 from: datac to: combout
Info (332098): Cell: core|coggen[1].cog_|cog_ctra|Mux6~4 from: datac to: combout
Info (332098): Cell: core|coggen[2].cog_|cog_ctra|Mux6~0 from: datac to: combout
Info (332098): Cell: core|coggen[2].cog_|cog_ctra|Mux6~1 from: datac to: combout
Info (332098): Cell: core|coggen[2].cog_|cog_ctra|Mux6~3 from: datac to: combout
Info (332098): Cell: core|coggen[2].cog_|cog_ctra|Mux6~4 from: datac to: combout
Info (332098): Cell: core|coggen[3].cog_|cog_ctra|Mux6~0 from: datac to: combout
Info (332098): Cell: core|coggen[3].cog_|cog_ctra|Mux6~1 from: datac to: combout
Info (332098): Cell: core|coggen[3].cog_|cog_ctra|Mux6~3 from: datac to: combout
Info (332098): Cell: core|coggen[3].cog_|cog_ctra|Mux6~4 from: datac to: combout
Info (332098): Cell: core|coggen[4].cog_|cog_ctra|Mux6~0 from: datac to: combout
Info (332098): Cell: core|coggen[4].cog_|cog_ctra|Mux6~1 from: datac to: combout
Info (332098): Cell: core|coggen[4].cog_|cog_ctra|Mux6~3 from: datac to: combout
Info (332098): Cell: core|coggen[4].cog_|cog_ctra|Mux6~4 from: datac to: combout
Info (332098): Cell: core|coggen[5].cog_|cog_ctra|Mux6~0 from: datac to: combout
Info (332098): Cell: core|coggen[5].cog_|cog_ctra|Mux6~1 from: datac to: combout
Info (332098): Cell: core|coggen[5].cog_|cog_ctra|Mux6~3 from: datac to: combout
Info (332098): Cell: core|coggen[5].cog_|cog_ctra|Mux6~4 from: datac to: combout
Info (332098): Cell: core|coggen[6].cog_|cog_ctra|Mux6~0 from: datac to: combout
Info (332098): Cell: core|coggen[6].cog_|cog_ctra|Mux6~1 from: datac to: combout
Info (332098): Cell: core|coggen[6].cog_|cog_ctra|Mux6~3 from: datac to: combout
Info (332098): Cell: core|coggen[6].cog_|cog_ctra|Mux6~4 from: datac to: combout
Info (332098): Cell: core|coggen[7].cog_|cog_ctra|Mux6~0 from: datac to: combout
Info (332098): Cell: core|coggen[7].cog_|cog_ctra|Mux6~1 from: datac to: combout
Info (332098): Cell: core|coggen[7].cog_|cog_ctra|Mux6~3 from: datac to: combout
Info (332098): Cell: core|coggen[7].cog_|cog_ctra|Mux6~4 from: datac to: combout
Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time.
Info (176353): Automatically promoted node altpll:pll|altpll_ejp:auto_generated|clk[0] (placed in counter C0 of PLL_4)
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G18
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G18
Info (176353): Automatically promoted node tim:clkgen|divide[12]
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
Info (176357): Destination node tim:clkgen|divide[12]~37
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_vid:cog_vid_|vid[30]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_vid:cog_vid_|vid[29]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|ctr[24]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|ctr[25]
Info (176357): Destination node dig:core|cog:coggen[1].cog_|cog_vid:cog_vid_|vid[30]
Info (176357): Destination node dig:core|cog:coggen[1].cog_|cog_vid:cog_vid_|vid[29]
Info (176357): Destination node dig:core|cog:coggen[1].cog_|cog_ctr:cog_ctra|ctr[24]
Info (176357): Destination node dig:core|cog:coggen[1].cog_|cog_ctr:cog_ctra|ctr[25]
Info (176357): Destination node dig:core|cog:coggen[2].cog_|cog_vid:cog_vid_|vid[30]
Info (176358): Non-global destination nodes limited to 10 nodes
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
Info (176357): Destination node tim:clkgen|divide[12]~37
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_vid:cog_vid_|vid[30]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_vid:cog_vid_|vid[29]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|ctr[24]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|ctr[25]
Info (176357): Destination node dig:core|cog:coggen[1].cog_|cog_vid:cog_vid_|vid[30]
Info (176357): Destination node dig:core|cog:coggen[1].cog_|cog_vid:cog_vid_|vid[29]
Info (176357): Destination node dig:core|cog:coggen[1].cog_|cog_ctr:cog_ctra|ctr[24]
Info (176357): Destination node dig:core|cog:coggen[1].cog_|cog_ctr:cog_ctra|ctr[25]
Info (176357): Destination node dig:core|cog:coggen[2].cog_|cog_vid:cog_vid_|vid[30]
Info (176358): Non-global destination nodes limited to 10 nodes
Info (176357): Destination node tim:clkgen|divide[12]~37
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_vid:cog_vid_|vid[30]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_vid:cog_vid_|vid[29]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|ctr[24]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|ctr[25]
Info (176357): Destination node dig:core|cog:coggen[1].cog_|cog_vid:cog_vid_|vid[30]
Info (176357): Destination node dig:core|cog:coggen[1].cog_|cog_vid:cog_vid_|vid[29]
Info (176357): Destination node dig:core|cog:coggen[1].cog_|cog_ctr:cog_ctra|ctr[24]
Info (176357): Destination node dig:core|cog:coggen[1].cog_|cog_ctr:cog_ctra|ctr[25]
Info (176357): Destination node dig:core|cog:coggen[2].cog_|cog_vid:cog_vid_|vid[30]
Info (176358): Non-global destination nodes limited to 10 nodes
Info (176353): Automatically promoted node tim:clkgen|clk_pll
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[35]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[34]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[33]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[32]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[31]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[30]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[29]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[28]
Info (176357): Destination node dig:core|cog:coggen[1].cog_|cog_ctr:cog_ctra|pll_fake[35]
Info (176357): Destination node dig:core|cog:coggen[1].cog_|cog_ctr:cog_ctra|pll_fake[34]
Info (176358): Non-global destination nodes limited to 10 nodes
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[35]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[34]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[33]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[32]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[31]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[30]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[29]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[28]
Info (176357): Destination node dig:core|cog:coggen[1].cog_|cog_ctr:cog_ctra|pll_fake[35]
Info (176357): Destination node dig:core|cog:coggen[1].cog_|cog_ctr:cog_ctra|pll_fake[34]
Info (176358): Non-global destination nodes limited to 10 nodes
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[35]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[34]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[33]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[32]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[31]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[30]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[29]
Info (176357): Destination node dig:core|cog:coggen[0].cog_|cog_ctr:cog_ctra|pll_fake[28]
Info (176357): Destination node dig:core|cog:coggen[1].cog_|cog_ctr:cog_ctra|pll_fake[35]
Info (176357): Destination node dig:core|cog:coggen[1].cog_|cog_ctr:cog_ctra|pll_fake[34]
Info (176358): Non-global destination nodes limited to 10 nodes
Info (176353): Automatically promoted node dig:core|cog:coggen[0].cog_|cog_vid:cog_vid_|vclk
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node dig:core|cog:coggen[1].cog_|cog_vid:cog_vid_|vclk
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node dig:core|cog:coggen[2].cog_|cog_vid:cog_vid_|vclk
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node dig:core|cog:coggen[3].cog_|cog_vid:cog_vid_|vclk
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node dig:core|cog:coggen[4].cog_|cog_vid:cog_vid_|vclk
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node dig:core|cog:coggen[5].cog_|cog_vid:cog_vid_|vclk
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node dig:core|cog:coggen[6].cog_|cog_vid:cog_vid_|vclk
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node dig:core|cog:coggen[7].cog_|cog_vid:cog_vid_|vclk
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node nres
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
Info (176357): Destination node dig:core|cnt[2]
Info (176357): Destination node dig:core|cnt[1]
Info (176357): Destination node dig:core|cnt[3]
Info (176357): Destination node dig:core|cnt[4]
Info (176357): Destination node dig:core|cnt[5]
Info (176357): Destination node dig:core|cnt[6]
Info (176357): Destination node dig:core|cnt[7]
Info (176357): Destination node dig:core|cnt[8]
Info (176357): Destination node dig:core|cnt[9]
Info (176357): Destination node dig:core|cnt[10]
Info (176358): Non-global destination nodes limited to 10 nodes
Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
Info (176357): Destination node dig:core|cnt[2]
Info (176357): Destination node dig:core|cnt[1]
Info (176357): Destination node dig:core|cnt[3]
Info (176357): Destination node dig:core|cnt[4]
Info (176357): Destination node dig:core|cnt[5]
Info (176357): Destination node dig:core|cnt[6]
Info (176357): Destination node dig:core|cnt[7]
Info (176357): Destination node dig:core|cnt[8]
Info (176357): Destination node dig:core|cnt[9]
Info (176357): Destination node dig:core|cnt[10]
Info (176358): Non-global destination nodes limited to 10 nodes
Info (176357): Destination node dig:core|cnt[2]
Info (176357): Destination node dig:core|cnt[1]
Info (176357): Destination node dig:core|cnt[3]
Info (176357): Destination node dig:core|cnt[4]
Info (176357): Destination node dig:core|cnt[5]
Info (176357): Destination node dig:core|cnt[6]
Info (176357): Destination node dig:core|cnt[7]
Info (176357): Destination node dig:core|cnt[8]
Info (176357): Destination node dig:core|cnt[9]
Info (176357): Destination node dig:core|cnt[10]
Info (176358): Non-global destination nodes limited to 10 nodes
Info (176233): Starting register packing
Info (176235): Finished register packing
Extra Info (176219): No registers were packed into other blocks
Extra Info (176219): No registers were packed into other blocks
Info (171121): Fitter preparation operations ending: elapsed time is 00:00:19
Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family.
Info (170189): Fitter placement preparation operations beginning
Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:25
Info (170191): Fitter placement operations beginning
Info (170137): Fitter placement was successful
Info (170192): Fitter placement operations ending: elapsed time is 00:03:16
Info (170193): Fitter routing operations beginning
Info (170089): 7e+02 ns of routing delay (approximately 1.5% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report.
Info (170195): Router estimated average interconnect usage is 31% of the available device resources
Info (170196): Router estimated peak interconnect usage is 48% of the available device resources in the region that extends from location X21_Y11 to location X31_Y22
Info (170196): Router estimated peak interconnect usage is 48% of the available device resources in the region that extends from location X21_Y11 to location X31_Y22
Info (170194): Fitter routing operations ending: elapsed time is 00:02:26
Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time.
Info (170201): Optimizations that may affect the design's routability were skipped
Info (170201): Optimizations that may affect the design's routability were skipped
Info (11888): Total time spent on timing analysis during the Fitter is 51.84 seconds.
Info (334003): Started post-fitting delay annotation
Info (334004): Delay annotation completed successfully
Info (334003): Started post-fitting delay annotation
Info (334004): Delay annotation completed successfully
Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:20
Warning (169177): 34 pins must meet Altera requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone IV E Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems.
Info (169178): Pin io[0] uses I/O standard 3.3-V LVCMOS at J14
Info (169178): Pin io[1] uses I/O standard 3.3-V LVCMOS at J13
Info (169178): Pin io[2] uses I/O standard 3.3-V LVCMOS at K15
Info (169178): Pin io[3] uses I/O standard 3.3-V LVCMOS at J16
Info (169178): Pin io[4] uses I/O standard 3.3-V LVCMOS at L13
Info (169178): Pin io[5] uses I/O standard 3.3-V LVCMOS at M10
Info (169178): Pin io[6] uses I/O standard 3.3-V LVCMOS at N14
Info (169178): Pin io[7] uses I/O standard 3.3-V LVCMOS at L14
Info (169178): Pin io[8] uses I/O standard 3.3-V LVCMOS at P14
Info (169178): Pin io[9] uses I/O standard 3.3-V LVCMOS at N15
Info (169178): Pin io[10] uses I/O standard 3.3-V LVCMOS at N16
Info (169178): Pin io[11] uses I/O standard 3.3-V LVCMOS at R14
Info (169178): Pin io[12] uses I/O standard 3.3-V LVCMOS at P16
Info (169178): Pin io[13] uses I/O standard 3.3-V LVCMOS at P15
Info (169178): Pin io[14] uses I/O standard 3.3-V LVCMOS at L15
Info (169178): Pin io[15] uses I/O standard 3.3-V LVCMOS at R16
Info (169178): Pin io[16] uses I/O standard 3.3-V LVCMOS at K16
Info (169178): Pin io[17] uses I/O standard 3.3-V LVCMOS at L16
Info (169178): Pin io[18] uses I/O standard 3.3-V LVCMOS at N11
Info (169178): Pin io[19] uses I/O standard 3.3-V LVCMOS at N9
Info (169178): Pin io[20] uses I/O standard 3.3-V LVCMOS at P9
Info (169178): Pin io[21] uses I/O standard 3.3-V LVCMOS at N12
Info (169178): Pin io[22] uses I/O standard 3.3-V LVCMOS at R10
Info (169178): Pin io[23] uses I/O standard 3.3-V LVCMOS at P11
Info (169178): Pin io[24] uses I/O standard 3.3-V LVCMOS at R11
Info (169178): Pin io[25] uses I/O standard 3.3-V LVCMOS at T10
Info (169178): Pin io[26] uses I/O standard 3.3-V LVCMOS at T11
Info (169178): Pin io[27] uses I/O standard 3.3-V LVCMOS at R12
Info (169178): Pin io[28] uses I/O standard 3.3-V LVCMOS at T12
Info (169178): Pin io[29] uses I/O standard 3.3-V LVCMOS at R13
Info (169178): Pin io[30] uses I/O standard 3.3-V LVCMOS at B11
Info (169178): Pin io[31] uses I/O standard 3.3-V LVCMOS at E10
Info (169178): Pin inp_resn uses I/O standard 3.3-V LVCMOS at D9
Info (169178): Pin clock_50 uses I/O standard 3.3-V LVCMOS at R8
Info (169178): Pin io[0] uses I/O standard 3.3-V LVCMOS at J14
Info (169178): Pin io[1] uses I/O standard 3.3-V LVCMOS at J13
Info (169178): Pin io[2] uses I/O standard 3.3-V LVCMOS at K15
Info (169178): Pin io[3] uses I/O standard 3.3-V LVCMOS at J16
Info (169178): Pin io[4] uses I/O standard 3.3-V LVCMOS at L13
Info (169178): Pin io[5] uses I/O standard 3.3-V LVCMOS at M10
Info (169178): Pin io[6] uses I/O standard 3.3-V LVCMOS at N14
Info (169178): Pin io[7] uses I/O standard 3.3-V LVCMOS at L14
Info (169178): Pin io[8] uses I/O standard 3.3-V LVCMOS at P14
Info (169178): Pin io[9] uses I/O standard 3.3-V LVCMOS at N15
Info (169178): Pin io[10] uses I/O standard 3.3-V LVCMOS at N16
Info (169178): Pin io[11] uses I/O standard 3.3-V LVCMOS at R14
Info (169178): Pin io[12] uses I/O standard 3.3-V LVCMOS at P16
Info (169178): Pin io[13] uses I/O standard 3.3-V LVCMOS at P15
Info (169178): Pin io[14] uses I/O standard 3.3-V LVCMOS at L15
Info (169178): Pin io[15] uses I/O standard 3.3-V LVCMOS at R16
Info (169178): Pin io[16] uses I/O standard 3.3-V LVCMOS at K16
Info (169178): Pin io[17] uses I/O standard 3.3-V LVCMOS at L16
Info (169178): Pin io[18] uses I/O standard 3.3-V LVCMOS at N11
Info (169178): Pin io[19] uses I/O standard 3.3-V LVCMOS at N9
Info (169178): Pin io[20] uses I/O standard 3.3-V LVCMOS at P9
Info (169178): Pin io[21] uses I/O standard 3.3-V LVCMOS at N12
Info (169178): Pin io[22] uses I/O standard 3.3-V LVCMOS at R10
Info (169178): Pin io[23] uses I/O standard 3.3-V LVCMOS at P11
Info (169178): Pin io[24] uses I/O standard 3.3-V LVCMOS at R11
Info (169178): Pin io[25] uses I/O standard 3.3-V LVCMOS at T10
Info (169178): Pin io[26] uses I/O standard 3.3-V LVCMOS at T11
Info (169178): Pin io[27] uses I/O standard 3.3-V LVCMOS at R12
Info (169178): Pin io[28] uses I/O standard 3.3-V LVCMOS at T12
Info (169178): Pin io[29] uses I/O standard 3.3-V LVCMOS at R13
Info (169178): Pin io[30] uses I/O standard 3.3-V LVCMOS at B11
Info (169178): Pin io[31] uses I/O standard 3.3-V LVCMOS at E10
Info (169178): Pin inp_resn uses I/O standard 3.3-V LVCMOS at D9
Info (169178): Pin clock_50 uses I/O standard 3.3-V LVCMOS at R8
Info (144001): Generated suppressed messages file C:/altera/14.0/P8X32A_DE0_Nano/top.fit.smsg
Info: Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings
Info: Peak virtual memory: 970 megabytes
Info: Processing ended: Sun Aug 10 20:07:04 2014
Info: Elapsed time: 00:07:24
Info: Total CPU time (on all processors): 00:07:11
Info: Peak virtual memory: 970 megabytes
Info: Processing ended: Sun Aug 10 20:07:04 2014
Info: Elapsed time: 00:07:24
Info: Total CPU time (on all processors): 00:07:11
Info: *******************************************************************
Info: Running Quartus II 64-Bit Assembler
Info: Version 14.0.0 Build 200 06/17/2014 SJ Web Edition
Info: Processing started: Sun Aug 10 20:07:09 2014
Info: Version 14.0.0 Build 200 06/17/2014 SJ Web Edition
Info: Processing started: Sun Aug 10 20:07:09 2014
Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off top -c top
Info (115031): Writing out detailed assembly data for power analysis
Info (115030): Assembler is generating device programming files
Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings
Info: Peak virtual memory: 546 megabytes
Info: Processing ended: Sun Aug 10 20:07:15 2014
Info: Elapsed time: 00:00:06
Info: Total CPU time (on all processors): 00:00:05
Info: Peak vir
BTW Quartus is able to replace the tabs with spaces.
It most probably removed a buch of logic, because I only see 16 RAM blocks being allocated... work in progress. Till that point, it was really fast complaining
( At least it still reports figures, some tools I've used just report 'failed to fit; when they overflow.. real annoying.)
If those numbers are for 8 COGS, then maybe fewer COGS and less RAM can give a fit.
Others are looking at removing most of the ROM, and doing a loader-time RAM based ROM.
I could see applications where a CPLD is used with a real P1, (or P2) which means even 1-2-3 COGs would be OK in a small companion Logic device.