// SVF file written by Atmel ISP version 6.6 // Created on: Fri Jan 03 12:53:46 2014 // SVF Rev. D TRST ABSENT; ENDIR IDLE; ENDDR IDLE; HDR 0; HIR 0; TDR 0; TIR 0; RUNTEST 50021E-6 SEC; STATE RESET; STATE IDLE; RUNTEST 50021E-6 SEC; SIR 10 TDI (280); SDR 10 TDI (1b9); STATE IDLE; SIR 10 TDI (059); SDR 32 TDI (ffffffff) TDO (0150803f) MASK (ffffffff); STATE IDLE; SIR 10 TDI (2b3); SIR 10 TDI (29e); STATE IDLE; RUNTEST 200001E-6 SEC; SIR 10 TDI (2bf); STATE IDLE; STATE RESET; RUNTEST 50001E-6 SEC; STATE IDLE; SIR 10 TDI (280); SDR 10 TDI (000); STATE IDLE; RUNTEST 10001E-6 SEC; STATE RESET; RUNTEST 50021E-6 SEC; RUNTEST 50021E-6 SEC; STATE RESET; STATE IDLE; RUNTEST 50021E-6 SEC; SIR 10 TDI (280); SDR 10 TDI (1b9); STATE IDLE; SIR 10 TDI (2a1); SDR 11 TDI (00c); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (00d); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (00e); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (00f); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (010); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (011); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (012); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (013); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (014); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (015); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (016); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (017); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (018); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (019); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (01a); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (01b); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (01c); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000401000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (01d); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000201004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (01e); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (01f); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (020); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (021); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (022); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (023); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (024); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (025); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (026); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (027); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (028); SIR 10 TDI (290); SDR 326 TDI (0200c010000000c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (029); SIR 10 TDI (290); SDR 326 TDI (02004010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (02a); SIR 10 TDI (290); SDR 326 TDI (0200c000000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (02b); SIR 10 TDI (290); SDR 326 TDI (02004010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (02c); SIR 10 TDI (290); SDR 326 TDI (0000c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (02d); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (02e); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c000000000600004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (02f); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (030); SIR 10 TDI (290); SDR 326 TDI (02008010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (031); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (032); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (033); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (034); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (035); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (036); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (037); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (038); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000401004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (039); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000201004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (03a); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (03b); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (03c); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (03d); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (03e); SIR 10 TDI (290); SDR 326 TDI (0200c0100002008010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (03f); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (040); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (041); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (042); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (043); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (044); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (045); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (046); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (047); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (048); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (049); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (04a); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (04b); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (04c); SIR 10 TDI (290); SDR 326 TDI (0200c0100002004010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (04d); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (04e); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (04f); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (050); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (051); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (052); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (053); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (054); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (055); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (056); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (057); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (058); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (059); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (05a); SIR 10 TDI (290); SDR 326 TDI (000080000000000000000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (05b); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (05c); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (05d); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (05e); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (05f); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (060); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (061); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (062); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (063); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (064); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (065); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (066); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (067); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (068); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (069); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (06a); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (06b); SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); STATE IDLE; SIR 10 TDI (2a1); SDR 11 TDI (080); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (081); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (082); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (083); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (084); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (085); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (086); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (087); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (088); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (089); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (08a); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (08b); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (08c); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (08d); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (08e); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (08f); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (090); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (091); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (092); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (093); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (094); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (095); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (096); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (097); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (098); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (099); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (09a); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (09b); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (09c); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (09d); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (09e); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (09f); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0a0); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0a1); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0a2); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0a3); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0a4); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0a5); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0a6); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0a7); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0a8); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0a9); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0aa); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0ab); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0ac); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0ad); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0ae); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0af); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0b0); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0b1); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0b2); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0b3); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0b4); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0b5); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0b6); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0b7); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0b8); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0b9); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0ba); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0bb); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0bc); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0bd); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0be); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0bf); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0c0); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0c1); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0c2); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0c3); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0c4); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0c5); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0c6); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0c7); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0c8); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0c9); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0ca); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0cb); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0cc); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0cd); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0ce); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0cf); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0d0); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0d1); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0d2); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0d3); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0d4); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0d5); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0d6); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0d7); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0d8); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0d9); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0da); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0db); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0dc); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0dd); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0de); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0df); SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); STATE IDLE; SIR 10 TDI (2a1); SDR 11 TDI (000); SIR 10 TDI (290); SDR 326 TDI (3fffc224422c624422443ffff3002230e04440047fffc0000000e00000003fffc0000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (001); SIR 10 TDI (290); SDR 326 TDI (3fffc0000000000000003fffd1000000800000003fffc0000000800000003fffc0000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (002); SIR 10 TDI (290); SDR 326 TDI (3fffd881188908118811bfffd999889999111999bfffd999999999999999bfffd999999999999999bf); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (003); SIR 10 TDI (290); SDR 326 TDI (3fffc33cc330cd33cc33ffffc00033003fb33fffffffc00000003fffffffffffc00000003fffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (004); SIR 10 TDI (290); SDR 326 TDI (3fffdbbddbbddfbbddbbfffffb99bbb9fffbbfffffffd9999999ffffffffffffd9999999bfffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (005); SIR 10 TDI (290); SDR 326 TDI (3fffc33cc33cf7ee77ee7fffc000333006eee6623fffc0000000066666667fffc0000000266666667f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (006); SIR 10 TDI (290); SDR 326 TDI (3fffc0000000000000003fffc0000000e00000003fffc0000000e00000003fffc0000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (007); SIR 10 TDI (290); SDR 326 TDI (3fffc0000000000000003fffc0000000800000003fffc0000000800000003fffc0000000000000003f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (008); SIR 10 TDI (290); SDR 326 TDI (3fffd999999999999999bfffd999999999999999bfffd999999999999999bfffd999999999999999bf); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (009); SIR 10 TDI (290); SDR 326 TDI (3fffc00000003fffffffffffc00000003fffffffffffc00000003fffffffffffc00000003fffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (00a); SIR 10 TDI (290); SDR 326 TDI (3fffd9999999bfffffffffffd9999999ffffffffffffd9999999ffffffffffffd9999999bfffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (00b); SIR 10 TDI (290); SDR 326 TDI (3fffc0000000266666667fffc0000000066666667fffc0000000066666667fffc0000000266666667f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); STATE IDLE; SIR 10 TDI (2a1); SDR 11 TDI (0e0); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0e1); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0e2); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0e3); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0e4); SIR 10 TDI (290); SDR 326 TDI (3ffffffffbffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0e5); SIR 10 TDI (290); SDR 326 TDI (3ffdfdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0e6); SIR 10 TDI (290); SDR 326 TDI (3fffdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0e7); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0e8); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0e9); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0ea); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0eb); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0ec); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0ed); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0ee); SIR 10 TDI (290); SDR 326 TDI (3ffffffffffffffffffffffffffdffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0ef); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0f0); SIR 10 TDI (290); SDR 326 TDI (3fffbbffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0f1); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0f2); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0f3); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffbffffffffbffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0f4); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0f5); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0f6); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0f7); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0f8); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0f9); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); SIR 10 TDI (2a1); SDR 11 TDI (0fa); SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); STATE IDLE; SIR 10 TDI (2a1); SDR 11 TDI (200); SIR 10 TDI (292); SDR 4 TDI (f); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); STATE IDLE; SIR 10 TDI (2a1); SDR 11 TDI (300); SIR 10 TDI (293); SDR 16 TDI (ffff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); STATE IDLE; SIR 10 TDI (2a1); SDR 11 TDI (100); SIR 10 TDI (291); SDR 32 TDI (7fed01ff); SIR 10 TDI (29e); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (2bf); STATE IDLE; SIR 10 TDI (2a1); SDR 11 TDI (00c); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (00d); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (00e); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (00f); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (010); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (011); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (012); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (013); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (014); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (015); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (016); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (017); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (018); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (019); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (01a); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (01b); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (01c); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000401000000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000401000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (01d); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000201004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000201004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (01e); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (01f); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (020); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (021); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (022); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (023); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (024); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (025); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (026); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (027); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (028); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000000c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000000c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (029); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (02004010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (02004010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (02a); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c000000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c000000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (02b); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (02004010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (02004010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (02c); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0000c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0000c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (02d); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (02e); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c000000000600004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c000000000600004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (02f); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (030); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (02008010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (02008010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (031); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (032); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (033); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (034); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (035); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (036); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (037); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (038); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000401004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000401004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (039); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000201004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000201004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (03a); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (03b); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (03c); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (03d); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (03e); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c0100002008010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c0100002008010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (03f); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (040); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (041); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (042); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (043); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (044); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (045); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (046); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (047); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (048); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (049); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (04a); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (04b); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (04c); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c0100002004010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c0100002004010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (04d); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (04e); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (04f); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (050); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (051); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (052); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (053); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (054); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (055); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (056); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (057); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (058); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (059); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (05a); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000080000000000000000000601004000000000000000000000000000000000000000000000000003f) TDO (000080000000000000000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (05b); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (05c); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (05d); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (05e); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (05f); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (060); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (061); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (062); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (063); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (064); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (065); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (066); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (067); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (068); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (069); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (06a); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (06b); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) TDO (0200c010000200c010000000601004000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); STATE IDLE; SIR 10 TDI (2a1); SDR 11 TDI (080); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (081); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (082); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (083); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (084); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (085); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (086); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (087); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (088); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (089); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (08a); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (08b); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (08c); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (08d); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (08e); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (08f); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (090); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (091); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (092); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (093); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (094); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (095); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (096); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (097); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (098); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (099); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (09a); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (09b); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (09c); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (09d); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (09e); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (09f); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0a0); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0a1); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0a2); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0a3); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0a4); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0a5); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0a6); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0a7); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0a8); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0a9); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0aa); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0ab); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0ac); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0ad); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0ae); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0af); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0b0); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0b1); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0b2); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0b3); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0b4); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0b5); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0b6); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0b7); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0b8); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0b9); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0ba); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0bb); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0bc); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0bd); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0be); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0bf); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0c0); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0c1); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0c2); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0c3); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0c4); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0c5); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0c6); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0c7); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0c8); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0c9); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0ca); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0cb); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0cc); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0cd); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0ce); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0cf); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0d0); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0d1); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0d2); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0d3); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0d4); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0d5); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0d6); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0d7); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0d8); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0d9); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0da); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0db); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0dc); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0dd); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0de); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0df); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) TDO (000000000000000000000000000000000000000000000000000000000000000000000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); STATE IDLE; SIR 10 TDI (2a1); SDR 11 TDI (000); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffc224422c624422443ffff3002230e04440047fffc0000000e00000003fffc0000000000000003f) TDO (3fffc224422c624422443ffff3002230e04440047fffc0000000e00000003fffc0000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (001); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffc0000000000000003fffd1000000800000003fffc0000000800000003fffc0000000000000003f) TDO (3fffc0000000000000003fffd1000000800000003fffc0000000800000003fffc0000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (002); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffd881188908118811bfffd999889999111999bfffd999999999999999bfffd999999999999999bf) TDO (3fffd881188908118811bfffd999889999111999bfffd999999999999999bfffd999999999999999bf) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (003); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffc33cc330cd33cc33ffffc00033003fb33fffffffc00000003fffffffffffc00000003fffffffff) TDO (3fffc33cc330cd33cc33ffffc00033003fb33fffffffc00000003fffffffffffc00000003fffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (004); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffdbbddbbddfbbddbbfffffb99bbb9fffbbfffffffd9999999ffffffffffffd9999999bfffffffff) TDO (3fffdbbddbbddfbbddbbfffffb99bbb9fffbbfffffffd9999999ffffffffffffd9999999bfffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (005); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffc33cc33cf7ee77ee7fffc000333006eee6623fffc0000000066666667fffc0000000266666667f) TDO (3fffc33cc33cf7ee77ee7fffc000333006eee6623fffc0000000066666667fffc0000000266666667f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (006); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffc0000000000000003fffc0000000e00000003fffc0000000e00000003fffc0000000000000003f) TDO (3fffc0000000000000003fffc0000000e00000003fffc0000000e00000003fffc0000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (007); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffc0000000000000003fffc0000000800000003fffc0000000800000003fffc0000000000000003f) TDO (3fffc0000000000000003fffc0000000800000003fffc0000000800000003fffc0000000000000003f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (008); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffd999999999999999bfffd999999999999999bfffd999999999999999bfffd999999999999999bf) TDO (3fffd999999999999999bfffd999999999999999bfffd999999999999999bfffd999999999999999bf) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (009); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffc00000003fffffffffffc00000003fffffffffffc00000003fffffffffffc00000003fffffffff) TDO (3fffc00000003fffffffffffc00000003fffffffffffc00000003fffffffffffc00000003fffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (00a); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffd9999999bfffffffffffd9999999ffffffffffffd9999999ffffffffffffd9999999bfffffffff) TDO (3fffd9999999bfffffffffffd9999999ffffffffffffd9999999ffffffffffffd9999999bfffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (00b); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffc0000000266666667fffc0000000066666667fffc0000000066666667fffc0000000266666667f) TDO (3fffc0000000266666667fffc0000000066666667fffc0000000066666667fffc0000000266666667f) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); STATE IDLE; SIR 10 TDI (2a1); SDR 11 TDI (0e0); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0e1); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0e2); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0e3); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0e4); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3ffffffffbffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3ffffffffbffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0e5); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3ffdfdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3ffdfdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0e6); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0e7); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0e8); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0e9); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0ea); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0eb); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0ec); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0ed); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0ee); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3ffffffffffffffffffffffffffdffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3ffffffffffffffffffffffffffdffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0ef); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0f0); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffbbffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffbbffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0f1); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0f2); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0f3); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffbffffffffbffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffbffffffffbffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0f4); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0f5); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0f6); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0f7); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0f8); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0f9); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); SIR 10 TDI (2a1); SDR 11 TDI (0fa); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (290); SDR 326 TDI (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (3fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff); STATE IDLE; SIR 10 TDI (2a1); SDR 11 TDI (200); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (292); SDR 4 TDI (f) TDO (f) MASK (f); STATE IDLE; SIR 10 TDI (2a1); SDR 11 TDI (300); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (293); SDR 16 TDI (ffff) TDO (ffff) MASK (ffff); STATE IDLE; SIR 10 TDI (2a1); SDR 11 TDI (100); SIR 10 TDI (28c); STATE IDLE; RUNTEST 20001E-6 SEC; SIR 10 TDI (291); SDR 32 TDI (7fed01ff) TDO (7fed01ff) MASK (ffffffff); STATE IDLE; SIR 10 TDI (280); SDR 10 TDI (000); STATE IDLE; RUNTEST 10001E-6 SEC; STATE RESET; RUNTEST 50021E-6 SEC;